window.dataLayer = window.dataLayer || []; function gtag(){dataLayer.push(arguments);} gtag('js', new Date()); gtag('config', 'G-DZ8LQ4EHBC');

Academics

Alexandros Bartzas
  
  
  

    Books

    • D. Atienza ,
    • Stylianos Mamagkakis ,
    • C. Poucet ,
    • Miguel Peon ,
    • Alexandros Bartzas ,
    • Francky Catthoor and
    • Dimitrios Soudris

    Dynamic Memory Management for Embedded Systems

    Springer, 2015. ISBN 978-3-319-10571-0, ISBN 978-3-319-10572-7

    Book Chapters

    • Cristina Silvano ,
    • William Fornaciari ,
    • S. Crespi Reghizzi ,
    • G. Agosta ,
    • G. Palermo ,
    • V. Zaccaria ,
    • Patrick Bellasi ,
    • F. Castro ,
    • Simone Corbetta ,
    • A. Di Biagio ,
    • E. Speziale ,
    • M. Tartara ,
    • D. Siorpaes ,
    • H. Hubert ,
    • B. Stabernack ,
    • J. Brandenburg ,
    • M. Palkovic ,
    • P. Raghavan ,
    • Chantal Ykman-Couvreur ,
    • Alexandros Bartzas ,
    • Sotirios Xydis ,
    • Dimitrios Soudris ,
    • T. Kempf ,
    • G. Ascheid ,
    • R. Leupers ,
    • H. Meyr ,
    • J. Ansari ,
    • P. Mahonen and
    • B. Vanthournout

    “2PARMA: Parallel Paradigms and Run-time Management Techniques for Many-Core Architectures” Book Chapter in “VLSI 2010 Annual Symposium”

    Editors Lecture Notes in Electrical Engineering, Volume 57, pg. 65-79, 1st Edition., 2011, VIII, 331 p. Springer Netherlands, August 31, 2011, ISBN 978-94-007-1487-8
    • B. Candaele ,
    • S. Aguirre ,
    • M. Sarlotte ,
    • Iraklis Anagnostopoulos ,
    • Sotirios Xydis ,
    • Alexandros Bartzas ,
    • Dimitris Bekiaris ,
    • Dimitrios Soudris ,
    • Zhonghai Lu ,
    • Xiaowen Chen ,
    • J.M. Chabloz ,
    • A. Hemani ,
    • A. Jantsch ,
    • G. Vanmeerbeeck ,
    • J. Kreku ,
    • K. Tiensyrja ,
    • Fragkiskos Ieromnimon ,
    • D. Kritharidis ,
    • A. Wiefrink ,
    • B. Vanthournout and
    • P. Martin

    “The MOSART Mapping Optimization for multi-core ArchiTectures,” Book Chapter in “Designing Very Large Scale Integration Systems: Emerging Trends & Challenges”

    Editors: N. Voros, A. Mukherjee, N. Sklavos, K. Masselos, M. Huebner, Springer 2011
  • “Three Dimensional Network-on-Chip Architectures,” Chapter 2, in “Networks-on-Chips: Theory and practice”

    CRC Press, 2008

    Journals

    • Miguel Peon ,
    • Alexandros Bartzas ,
    • Stylianos Mamagkakis ,
    • Francky Catthoor ,
    • J. Mendias and
    • Dimitrios Soudris

    Placement of Linked Dynamic Data Structures over Heterogeneous Memories in Embedded Systems

    ACM TECS, ACM Transactions on Embedded Computing Systems, Volume 14 Issue 2, March 2015
  • System Scenarios-based Architecture Level Exploration of SDR Application using a Network-on-Chip Simulation Framework

    MICRO: Microprocessors and Microsystems, 2013, Elsevier, Volume 37, Issues 6–7, August–October 2013
  • Power-aware Dynamic Memory Management on Many-core Platforms utilizing DVFS

    ACM TECS, ACM Transactions on Embedded Computing Systems, Vol. 13, No. 1s, Article 40, November 2013
  • High-level customization framework for application-specific NoC architectures

    Design Automation for Embedded Systems, Springer Publishers, November 2012
  • Custom Microcoded Dynamic Memory Management for Distributed On-Chip Memory Organizations

    IEEE Embedded Systems Letters. Volume: 3 Issue:2, June 2011
    • Alexandros Bartzas ,
    • Miguel Peon ,
    • C. Poucet ,
    • Christos Baloukas ,
    • Stylianos Mamagkakis ,
    • Francky Catthoor ,
    • J. Mendias and
    • Dimitrios Soudris

    Software Metadata: Systematic Characterization of the Memory Behaviour of Dynamic Applications

    Journal of Systems and Software, 83 (2010)
  • A System-Level Design Methodology for ApplicationSpecific Networks-on-Chip

    Journal of Embedded Computing, IOS press, Volume 3, Number 3, July 2009
    • Alexandros Bartzas ,
    • Miguel Peon ,
    • Stylianos Mamagkakis ,
    • Francky Catthoor ,
    • J. Mendias and
    • Dimitrios Soudris

    Direct Memory Access Usage Optimization in Network Applications for Reduced Memory Latency and Energy Consumption

    Journal of Embedded Computing, IOS press, Volume 3, Number 3, July 2009
  • Architecture-Level Exploration of Alternative Interconnection Schemes Targeting to 3D FPGAs: A Software-Supported Methodology

    International Journal of Reconfigurable Computing, Volume 2008 (2008)
    • Stylianos Mamagkakis ,
    • Alexandros Bartzas ,
    • G. Pouiklis ,
    • D. Atienza ,
    • Francky Catthoor ,
    • Dimitrios Soudris and
    • Adonios Thanailakis

    Systematic Methodology for Exploration of Performance – Energy Trade-offs in Network Applications Using Dynamic Data Type Refinement

    Journal of Systems Architecture 53 (2007)

    Conferences

    • Isabelle Texier ,
    • Pierre Marcoux ,
    • Pascale Pham ,
    • Marie Muller ,
    • Pierre-Yves Benhamou ,
    • Marc Correvon ,
    • Gabriela Dudnik ,
    • Guy Voirin ,
    • Natascha Bue ,
    • Jan Cristensen ,
    • Massimo Laurenza ,
    • Giuseppe Gazzara ,
    • Andreas Raptopoulos ,
    • Alexandros Bartzas ,
    • Dimitrios Soudris ,
    • Carl Saxby ,
    • Thierry Navarro ,
    • Fabio di Francesco ,
    • Pietro Salvo ,
    • Marco Romanelli ,
    • Battistino Paggi and
    • Leonidas Lymperopoulos

    SWAN-iCare: A smart wearable and autonomous negative pressure device for wound monitoring and therapy

    in Proceedings of 13th International Conference on Embedded Computer ystems: Architectures, Modeling, and Simulation (SAMOS), 2013
  • Distributed run-time resource management for malleable applications on many-core platforms

    in Proceedings of Design Automation Conference (DAC), 2013
  • Adaptive memory management for applications of highly-varying runtime requirements

    in Proceedings of PARMA Workshop, 2013
    • Anastasia Garbi ,
    • Andreas Raptopoulos ,
    • Ioannis Koutras ,
    • Alexandros Bartzas and
    • Dimitrios Soudris

    NEPHRON+: ICT-enabled wearable artificial kidney and personal renal care system

    in Proceedings of 7th International Conference on "Communications, Electromagnetics and Medical Applications (CEMA), 2012
  • Adaptive dynamic memory allocators by estimating application workloads

    in Proceedings of Special Session on Programming Paradigms for Reconfigurable Multi-Core Embedded Systems (SAMOS), 2012
  • Run-Time Dynamic Data Type Transformations

    in PARMA Workshop, 2012
  • Efficient Memory Allocations on a ManyCore Accelerator

    in PARMA Workshop, 2012
  • A Divide and Conquer based Distributed Run-time Mapping Methodology for Many-Core platforms

    in Proceedings of Design Automation and Test in Europe (DATE), 2012
    • Cristina Silvano ,
    • William Fornaciari ,
    • S. Crespi Reghizzi ,
    • G. Agosta ,
    • G. Palermo ,
    • V. Zaccaria ,
    • Patrick Bellasi ,
    • Simone Corbetta ,
    • E. Speziale ,
    • Diego Melpignano ,
    • J.M. Zins ,
    • B. Stabernack ,
    • J. Brandenburg ,
    • M. Palkovic ,
    • Chantal Ykman-Couvreur ,
    • Alexandros Bartzas ,
    • Dimitrios Soudris ,
    • T. Kempf ,
    • G. Ascheid ,
    • H. Meyr ,
    • J. Ansari ,
    • P. Mahonen and
    • B. Vanthournout

    Parallel programming and Run-time Resource Management Framework for Many-core Platforms: The 2PARMA Approach

    in 6th International Workshop on Interconnection Network Architectures: On-Chip, Multi-Chip (NA-OCMC), 2012
  • Custom Memory Allocation on Platform 2012 – The 2PARMA Approach

    in P2012 developers' conference, 2011
    • Cristina Silvano ,
    • William Fornaciari ,
    • S. Crespi Reghizzi ,
    • G. Agosta ,
    • G. Palermo ,
    • V. Zaccaria ,
    • Patrick Bellasi ,
    • F. Castro ,
    • Simone Corbetta ,
    • E. Speziale ,
    • Diego Melpignano ,
    • J.M. Zins ,
    • H. Hubert ,
    • B. Stabernack ,
    • J. Brandenburg ,
    • M. Palkovic ,
    • P. Raghavan ,
    • Chantal Ykman-Couvreur ,
    • Iraklis Anagnostopoulos ,
    • Alexandros Bartzas ,
    • Dimitrios Soudris ,
    • T. Kempf ,
    • G. Ascheid ,
    • H. Meyr ,
    • J. Ansari ,
    • P. Mahonen and
    • B. Vanthournout

    Parallel programming and Run-time Resource Management Framework for Many-core Platforms: The 2PARMA Approach

    in Proceedings of 6th International Workshop on Reconfigurable Communication-centric Systems-onChip (ReCoSoC), 2011
    • Cristina Silvano ,
    • William Fornaciari ,
    • S. Crespi Reghizzi ,
    • G. Agosta ,
    • G. Palermo ,
    • V. Zaccaria ,
    • Patrick Bellasi ,
    • F. Castro ,
    • Simone Corbetta ,
    • E. Speziale ,
    • Diego Melpignano ,
    • J.M. Zins ,
    • H. Hubert ,
    • B. Stabernack ,
    • J. Brandenburg ,
    • M. Palkovic ,
    • P. Raghavan ,
    • Chantal Ykman-Couvreur ,
    • Alexandros Bartzas ,
    • Dimitrios Soudris ,
    • T. Kempf ,
    • G. Ascheid ,
    • H. Meyr ,
    • J. Ansari ,
    • P. Mahonen and
    • B. Vanthournout

    Parallel Paradigms and Run-time Management Techniques for Many-core Architectures: The 2PARMA Approach

    in Proceedings of IEEE 9th International Conference on Industrial Informatics (INDIN), 2011
  • Runtime Resource Management Techniques for Many-core Architectures: The 2PARMA Approach

    in Proceedings of the International Conference on ENGINEERING OF RECONFIGURABLE SYSTEMS AND ALGORITHMS (ERSA), 2011
  • Runtime Tuning of Dynamic Memory Management For Mitigating Footprint-Fragmentation Variations

    in Proceedings of 2nd PARMA Workshop on Parallel Programming and Run-Time Management Techniques for Manycore Architectures, 2011
  • Custom Multi-Threaded Dynamic Memory Management for Multiprocessor System-on-Chip Platforms

    in Proceedings - 2010 International Conference on Embedded Computer Systems: Architectures, Modeling and Simulation (SAMOS), 2010
    • Cristina Silvano ,
    • William Fornaciari ,
    • S. Crespi Reghizzi ,
    • G. Agosta ,
    • G. Palermo ,
    • V. Zaccaria ,
    • F. Castro ,
    • Simone Corbetta ,
    • A. Di Biagio ,
    • E. Speziale ,
    • M. Tartara ,
    • D. Siorpaes ,
    • H. Hubert ,
    • B. Stabernack ,
    • J. Brandenburg ,
    • M. Palkovic ,
    • P. Raghavan ,
    • Chantal Ykman-Couvreur ,
    • Alexandros Bartzas ,
    • Sotirios Xydis ,
    • Dimitrios Soudris ,
    • T. Kempf ,
    • G. Ascheid ,
    • R. Leupers ,
    • H. Meyr ,
    • J. Ansari ,
    • P. Mahonen and
    • B. Vanthournout

    2PARMA: Parallel Paradigms and Run-time Management Techniques for Many-Core Architectures

    in Proc. of IEEE Computer Society Annual Symposium on VLSI (ISVLSI), 2010
    • B. Candaele ,
    • S. Aguirre ,
    • M. Sarlotte ,
    • Iraklis Anagnostopoulos ,
    • Sotirios Xydis ,
    • Alexandros Bartzas ,
    • Dimitris Bekiaris ,
    • Dimitrios Soudris ,
    • Zhonghai Lu ,
    • Xiaowen Chen ,
    • J.M. Chabloz ,
    • A. Hemani ,
    • A. Jantsch ,
    • G. Vanmeerbeeck ,
    • J. Kreku ,
    • K. Tiensyrja ,
    • Fragkiskos Ieromnimon ,
    • D. Kritharidis ,
    • A. Wiefrink ,
    • B. Vanthournout and
    • P. Martin

    Mapping Optimisation for Scalable multi-core ARchiTecture: The MOSART approach

    in Proc. of IEEE Computer Society Annual Symposium on VLSI (ISVLSI), 2010
  • A High-Level Mapping Algorithm Targeting 3D NoC Architectures with Multiple Vdd

    in Proc. of IEEE Computer Society Annual Symposium on VLSI (ISVLSI), 2010
  • Systematic Exploration of Energy Efficient Application-Specific Network-on-Chip Architectures

    in Proc. of IEEE Computer Society Annual Symposium on VLSI (ISVLSI), 2010
    • Y. Iosifidis ,
    • A. Mallik ,
    • Stylianos Mamagkakis ,
    • Eddy De Greef ,
    • Alexandros Bartzas ,
    • Dimitrios Soudris and
    • Francky Catthoor

    A Framework For Automatic Parallelization, Static And Dynamic Memory Optimization in MPSOC Platforms

    in Proc. Of Design Automation Confernce (DAC), 2010
  • Fast Design Space Exploration Environment Applied on NoC’s for 3D-Stacked MPSoC’s

    in Proceedings of PARMA Workshop Parallel Programming and Run-time Management Techniques for Many-core Architectures, 2010
  • Dynamic Frequency Scaling for MPSoCs based on Chaotic Workload Analysis

    in PARMA Workshop Parallel Programming and Run-time Management Techniques for Many-core Architectures, 2010
    • Alexandros Bartzas ,
    • Christos Baloukas ,
    • Dimitrios Soudris ,
    • Fragkiskos Ieromnimon ,
    • Nikolaos Voros and
    • Konstantinos Potamianos

    Dynamic Data Type Optimization and Memory Assignment Methodologies

    in Proc. of 19th Inter. Workshop on Power and Timing, Modeling, Optimization, and Simulation (PATMOS), 2009
  • Multi-granularity NoC Simulation Framework for Early Phase Exploration of SDR Hardware Platforms

    in Proc. of 19th Inter. Workshop on Power and Timing, Modeling, Optimization, and Simulation (PATMOS), 2009
  • Application-Specific Temperature Reduction Sysstematic Methodology for 2D and 3D Networks-on-Chip

    in Proceedings of 19th Inter. Workshop on Power and Timing, Modeling, Optimization, and Simulation (PATMOS), 2009
  • DSP implementations on alternative NoC architectures

    in Proc. Of 16th International Conference on Digital Signal Processing (DSP), 2009
    • Alexandros Bartzas ,
    • M. Quiros ,
    • Stylianos Mamagkakis ,
    • Francky Catthoor ,
    • Dimitrios Soudris and
    • J. Mendias

    Enabling RunTime Memory Data Transfer Optimizations at the System Level with Automated Extraction of Embedded Software Metadata Information

    in Proceedings of 13th Asia and South Pacific Design Automation Conference (ASP-DAC), 2008
  • Exploration of Alternative Topologies for Application Specific 3D Networks on Chip

    in Proceedings of Workshop on Application Specific Processors (WASP), 2007
    • Miguel Peon ,
    • Alexandros Bartzas ,
    • Stylianos Mamagkakis ,
    • Francky Catthoor ,
    • J. Mendias and
    • Dimitrios Soudris

    Direct memory access optimization in wireless terminals for reduced memory latency and energy consumption

    in Proceedings of 17th International Workshop, Power and Timing Modeling, Optimization and Simulation (PATMOS), 2007
    • Alexandros Bartzas ,
    • Miguel Peon ,
    • Stylianos Mamagkakis ,
    • D. Atienza ,
    • Francky Catthoor ,
    • Dimitrios Soudris and
    • J. Mendias

    Systematic Design Flow for Dynamic Data Management in Visual Texture Decoder of MPEG-4

    in Proc. of 2006 IEEE International Symposium on Circuits and Systems (ISCAS), 2006
    • Alexandros Bartzas ,
    • G. Pouiklis ,
    • Stylianos Mamagkakis ,
    • D. Atienza ,
    • Francky Catthoor ,
    • Dimitrios Soudris and
    • Adonios Thanailakis

    Dynamic Data Type Refinement Methodology for Systematic Performance–Energy Design Exploration of Network Applications

    in Proc. of Designe Automation and Test in Europe (DATE), 2006
    • Alexandros Bartzas ,
    • G. Pouiklis ,
    • Stylianos Mamagkakis ,
    • Francky Catthoor ,
    • Dimitrios Soudris and
    • Adonios Thanailakis

    Performance Energy Trade-off Exploration in Dynamic Data Types for Network Applications

    in Proc. of IEEE International Symposium on Signal Processing and Information Technology (ISSPIT), 2005

    Workshops

    • Ioannis Koutras ,
    • Patrick Bellasi ,
    • Alexandros Bartzas ,
    • William Fornaciari and
    • Dimitrios Soudris

    Extending Runtime Resource Management to Optimize Heap Memory Utilization of Embedded Applications

    in 2013 Design Automation Conference (DAC) Work-in-Progress Session, Austin, TX, June 2-6, 2013
    • Ioannis Koutras ,
    • Patrick Bellasi ,
    • Alexandros Bartzas ,
    • William Fornaciari and
    • Dimitrios Soudris

    Improving heap memory utilization of Embedded Applications via Run-Time Resource Management

    in DEPCP Friday Workshop,held in conjunction with the DATE 2013 Conference
    • Ioannis Koutras ,
    • Patrick Bellasi ,
    • Alexandros Bartzas ,
    • William Fornaciari and
    • Dimitrios Soudris

    A genetic algorithm- based FPGA placer for multi-core processors

    in DEPCP Friday Workshop,held in conjunction with the DATE 2013 Conference
    • Alexandros Bartzas ,
    • Patrick Bellasi ,
    • J. Brandenburg ,
    • William Fornaciari ,
    • Ioannis Koutras ,
    • Giuseppe Massari ,
    • G. Palermo ,
    • Edoardo Paone ,
    • Cristina Silvano ,
    • Dimitrios Soudris ,
    • Sotirios Xydis and
    • V. Zaccaria

    Cooperative Design Space Exploration and Run-Time Resource Management for Application Adaptivity on Multi-Core Platforms: A Networked Video Surveillance Use Case

    DEPCP Friday Workshop,held in conjunction with the DATE 2013 Conference
  • Advantages of High-Level Synthesis in an OpenCL Based FPGA Programming Methodology

    HLS4HPC Workshop, High-Level Synthesis for High Performance Computing, HiPEAC 2013, Berlin January 21-23, 2013
  • A Design Space Exploration Prototype for Run-Time Support on Manycore Architectures

    Work-In-Progress Workshop, June 6, 2012, Design Automation Conference, San Francisco, USA
  • Adaptive Heap Management on Many-Core Platforms

    in DATE 2012 Friday Workshop on Designing for Embedded Parallel Computing Platforms: Architectures, Design Tools, and Applications (DEPCP 2012), Dresden, Germany, March 12-16, 2012
    • Patrick Bellasi ,
    • William Fornaciari ,
    • Giuseppe Massari ,
    • Cristina Silvano ,
    • Alexandros Bartzas and
    • Dimitrios Soudris

    Run-time Adaptivity Techniques – The 2PARMA Approach

    in DATE 2012 Friday Workshop on Designing for Embedded Parallel Computing Platforms: Architectures, Design Tools, and Applications (DEPCP 2012), Dresden, Germany, March 12-16, 2012
  • SYSMANTIC: A 3D NoC MPSoC Architecture Exploration and Implementation Framework

    Poster in DEPCP 2012, DATE Friday Workshop on Designing for Embedded Parallel Computing Platforms: Architectures, Design Tools, and Applications, Dresden, Germany, March 12-16, 2012
  • Custom Microcoded Dynamic Memory Management for McNoC Platforms with Distributed Memories

    Poster in DEPCP 2011, DATE Friday Workshop on Designing for Embedded Parallel Computing Platforms: Architectures, Design Tools, and Applications
  • Microcoded Dynamic Memory Allocation for Multi-core Networks-on-Chip

    in ACACES 2010, 11-17 july, 2010, Terrassa, Barcelona, Spain
  • Dynamic Memory Management Customization for Multi-Processor Systems-on-Chip

  • A Novel NOC Architecture Framework for 3D Chip MPSoC Implementations

    in W5 3D Integration Workshop, DATE'10 Friday Workshop, March 8-12, 2010
  • Dynamic Memory Management Customization for Multi-Processor Systems-on-Chip

    Designing for Embedded Parallel Computing Platforms: Architectures, Design Tools, and Applications, DATE'10 Friday Workshop, March 8-12, 2010
  • Design Tools Session: A Genetic Algorithm Framework for Dynamic Data Type Optimization and Memory Assignment

    in Workshop Designing for embedded parallel computing platforms: architectures, tools, and applications, Friday 24th April, Nice, France
  • Multi-granularity NoC simulation framework for early phase exploration of SDR platforms

    in Workshop Designing for embedded parallel computing platforms: architectures, tools, and applications, Friday 24th April, Nice, France
  • 3D Networks-on-Chip: Architectures and tools

    in ACACES 2009, Barcelona, Spain
  • System-Level Exploration of 3-D Interconnection Schemes

    DATE'09 Friday Workshop on 3D Integration, Friday 24th April, Nice, France
  • Τopology Exploration and Buffer Sizing for Three- Dimensional Networks-on-Chip

    DATE'09 Friday Workshop on 3D Integration, Friday 24th April, Nice, France