window.dataLayer = window.dataLayer || []; function gtag(){dataLayer.push(arguments);} gtag('js', new Date()); gtag('config', 'G-DZ8LQ4EHBC');

Academics

Francky Catthoor
   Visiting Professor
  
  

    Books

    • Nikolaos Zompakis ,
    • Michail Noltsis ,
    • Panagiota Nikolaou ,
    • Panagiotis Englezakis ,
    • Zacharias Hadjilambrou ,
    • Lorena Ndreu ,
    • Giuseppe Massari ,
    • Simone Libutti ,
    • Antoni Portero ,
    • Federico Sassi ,
    • Alessandroy Bacchini ,
    • Chrysostomos Nicopoulos ,
    • Yiannakis Sazeides ,
    • Radim Vavrik ,
    • Martin Golasowski ,
    • Jiri Sevcik ,
    • S. Kuchar ,
    • Vit Vondrak ,
    • Agnes Fritsch ,
    • H. Cappelle ,
    • Francky Catthoor ,
    • William Fornaciari and
    • Dimitrios Soudris

    The HARPA approach to ensure dependable performance

    Harnessing Performance Variability in Embedded and High-performance Many/Multi-core Platforms: A Cross-layer Approach DOI: 10.1007/978-3-319-91962-1_1
    • D. Atienza ,
    • Stylianos Mamagkakis ,
    • C. Poucet ,
    • Miguel Peon ,
    • Alexandros Bartzas ,
    • Francky Catthoor and
    • Dimitrios Soudris

    Dynamic Memory Management for Embedded Systems

    Springer, 2015. ISBN 978-3-319-10571-0, ISBN 978-3-319-10572-7

    Book Chapters

  • DVFS-oriented scenario applications to processor architectures

    System-Scenario-based Design Principles and Applications, 2019, DOI: 10.1007/978-3-030-20343-6_4
  • System scenario application to dependable system design

    System-Scenario-based Design Principles and Applications, 2019, DOI: 10.1007/978-3-030-20343-6_7
  • “HARPA RT,” book chapter in “Harnessing Performance Variability in Embedded and High-performance Many/Multi-core Platforms – A Cross-layer Approach”

    Springer Publishers
  • “Efficient System Configurations for Dynamic Applications in Next Generation Mobile Communication Systems” Book Chapter in “Handbook of Research on Next Generation Mobile Communication Systems”

    IGI Global, A volume in the Advances in Wireless Technologies and Telecommunication (AWTT) Book Series
    • Christos Baloukas ,
    • Marijn Temmerman ,
    • Anne Keller ,
    • Stylianos Mamagkakis ,
    • Francky Catthoor ,
    • Dimitrios Soudris and
    • Serge Demeyer

    “Abstract and Concrete Data Type Optimizations at the UML and C/C++ Level for Dynamic Embedded Software,” in Book “Behavioral Modeling for Embedded Systems and Technologies: Applications for Design and Implementation”

    IGI-Global, ISBN: 978-1-60566-750- 8; 494, 2010

    Journals

  • Thermal-comfort Aware Online Co-scheduling Framework for HVAC, Battery Systems, and Appliances in Smart Buildings

    IEICE Transactions on Fundamentals of Electronics, Communications and Computer Sciences
      BibTeX
  • Runtime slack creation for processor performance variability using system scenarios

    ACM Transactions on Design Automation of Electronic Systems DOI: 10.1145/3152158
  • A cost-benefit analysis for reconfigurable PV modules under shading

    Solar Energy, 2019, DOI: 10.1016/j.solener.2018.11.063
  • A closed-loop controller to ensure performance and temperature constraints for dynamic applications

    ACM Transactions on Embedded Computing Systems, 2019, DOI: 10.1145/3343030
  • A Method for Detailed, Short-Term Energy Yield Forecasting of Photovoltaic Installations

    Renewable Energy, Elsevier
  • Failure probability of a FinFET-based SRAM cell utilizing the most probable failure point

    Integration, Elsevier, March 2018 DOI: 10.1109/PATMOS.2017.8106967
  • Run Time Slack Creation for Processor Performance Variability using System Scenarios Consistent

    ACM Trans. on Design Automation of Electronic Systems(TODAES), Vol. 23, No. 2, Article 24, December 2017
  • Systematic cross-validation of photovoltaic energy yield models for dynamic environmental conditions

    Solar Energy, Volume 155, October 2017
  • Near-Static Shading Exploration for Smart Photovoltaic Module Topologies Based on Snake-like Configurations

    ACM Transactions on Embedded Computing Systems (TECS), 15(2): 27:1-27:21, (2016)
    • Dimitris Rodopoulos ,
    • Georgia Psychou ,
    • Mohamed M. Sabry ,
    • Francky Catthoor ,
    • Antonis Papanikolaou ,
    • Dimitrios Soudris ,
    • Tobias G. Noll and
    • D. Atienza

    Classification Framework for Analysis and Modeling of Physically Induced Reliability Violations

    Journal of ACM Computing Surveys,Volume 47 Issue 3, April 2015
  • Hybrid (HWSW) Mitigation of Transient Errors on the Data Plane of the Single-Chip Cloud Computer

    IEEE Transactions on Very Large Scale Integration (VLSI), Vol.: 23, Issue: 3, March 2015
    • Miguel Peon ,
    • Alexandros Bartzas ,
    • Stylianos Mamagkakis ,
    • Francky Catthoor ,
    • J. Mendias and
    • Dimitrios Soudris

    Placement of Linked Dynamic Data Structures over Heterogeneous Memories in Embedded Systems

    ACM TECS, ACM Transactions on Embedded Computing Systems, Volume 14 Issue 2, March 2015
  • Tackling Performance Variability due to RAS Mechanisms with PID-Controlled DVFS

    IEEE Computer Architecture Letters. (Volume:PP, Issue: 99), 23 December 2014
  • Atomistic Pseudo-Transient BTI Simulation with Inherent Workload Memory

    IEEE Transactions On Device And Materials Reliability, vol. 14, no. 2, June 2014
  • System Scenarios-based Architecture Level Exploration of SDR Application using a Network-on-Chip Simulation Framework

    MICRO: Microprocessors and Microsystems, 2013, Elsevier, Volume 37, Issues 6–7, August–October 2013
  • Enabling Efficient System Configurations For Dynamic Wireless Applications Using System Scenarios

    International Journal of Wireless Information Networks, Springer Publishers, 2012
    • Alexandros Bartzas ,
    • Miguel Peon ,
    • C. Poucet ,
    • Christos Baloukas ,
    • Stylianos Mamagkakis ,
    • Francky Catthoor ,
    • J. Mendias and
    • Dimitrios Soudris

    Software Metadata: Systematic Characterization of the Memory Behaviour of Dynamic Applications

    Journal of Systems and Software, 83 (2010)
  • Optimization Methodology of Dynamic Data Structures based on Genetic Algorithms for Multimedia Embedded Systems

    Journal of Systems and Software 82 (2009)
    • Alexandros Bartzas ,
    • Miguel Peon ,
    • Stylianos Mamagkakis ,
    • Francky Catthoor ,
    • J. Mendias and
    • Dimitrios Soudris

    Direct Memory Access Usage Optimization in Network Applications for Reduced Memory Latency and Energy Consumption

    Journal of Embedded Computing, IOS press, Volume 3, Number 3, July 2009
    • Stylianos Mamagkakis ,
    • Alexandros Bartzas ,
    • G. Pouiklis ,
    • D. Atienza ,
    • Francky Catthoor ,
    • Dimitrios Soudris and
    • Adonios Thanailakis

    Systematic Methodology for Exploration of Performance – Energy Trade-offs in Network Applications Using Dynamic Data Type Refinement

    Journal of Systems Architecture 53 (2007)
    • Stylianos Mamagkakis ,
    • Christos Baloukas ,
    • D. Atienza ,
    • Francky Catthoor ,
    • Dimitrios Soudris and
    • Adonios Thanailakis

    Reducing Memory Fragmentation with Performance-optimized Dynamic Memory Allocators in Network Applications

    Computer Communications, 29 (2006)
    • Minas Dasigenis ,
    • Erik Brockmeyer ,
    • Bart Durinck ,
    • Francky Catthoor ,
    • Dimitrios Soudris and
    • Adonios Thanailakis

    A Combined DMA and Application Specific Prefetching Approach for Tackling the Memory Latency Bottleneck

    IEEE Transactions on VLSI Systems, Vol. 14, No. 3, March 2006
  • Systematic Dynamic Memory Management Design Methodology for Reduced Memory Footprint

    ACM Transactions on Design Automation of Electronic Systems (TODAES) Vol. 11, No. 2, April 2006
    • D. Atienza ,
    • Stylianos Mamagkakis ,
    • F. Poletti ,
    • J. Mendias ,
    • Francky Catthoor ,
    • L. Benini and
    • Dimitrios Soudris

    Efficient System-Level Prototyping of Power-Aware Dynamic Memory Managers for Embedded Systems

    Integration, The VLSI Journal, Volume 39, Issue 2, March 2006

    Conferences

  • Thermal Comfort Aware Online Energy Management Framework for a Smart Residential Building

    2021 Design, Automation & Test in Europe Conference & Exhibition (DATE)
      BibTeX
  • Memory Footprint Optimization Techniques for Machine Learning Applications in Embedded Systems

    2020 IEEE International Symposium on Circuits and Systems (ISCAS), DOI: https://doi.org/10.1109/ISCAS45731.2020.9181038
    • Michail Noltsis ,
    • Panagiotis Englezakis ,
    • E. Maragkoudaki ,
    • Chrysostomos Nicopoulos ,
    • Dimitris Rodopoulos ,
    • Francky Catthoor ,
    • Yiannakis Sazeides ,
    • Davide Zoni and
    • Dimitrios Soudris

    Fast estimations of failure probability over long time spans

    Proceedings of the 14th IEEE/ACM International Symposium on Nanoscale Architectures, NANOARCH 2018 DOI: 10.1145/3232195.3232198
    • P. Manganiello ,
    • P. Bosmalis ,
    • Maria Iro (Maro) Baka ,
    • E. Voroshazi ,
    • Dimitrios Soudris ,
    • Francky Catthoor ,
    • J. Szlufcik and
    • J.Poortmans

    Optimization Methodology for Reconfigurable PV Modules

    2018 IEEE 7th World Conference on Photovoltaic Energy Conversion, WCPEC 2018 - A Joint Conference of 45th IEEE PVSC, 28th PVSEC and 34th EU PVSEC, DOI: 10.1109/PVSC.2018.8548246
  • A Synergy of a Closed-Loop DVFS Controller and CPU Hot-Plug for Run-Time Thermal Management in Multicore Systems

    2019 IEEE 29th International Symposium on Power and Timing Modeling, Optimization and Simulation, PATMOS 2019, DOI: 10.1109/PATMOS.2019.8862032
    • Imre T. Horvath ,
    • H. Goverde ,
    • P. Manganiello ,
    • A. Schils ,
    • A. van der Heide ,
    • J. Govaerts ,
    • E. Voroshazi ,
    • G. Yordanov ,
    • J. Moschner ,
    • Ioannis Oroutzoglou ,
    • L. A. Radkar ,
    • N. Harder ,
    • T. Mueller ,
    • A. Lambert ,
    • S. Sceerlinck ,
    • B. Aldalali ,
    • Dimitrios Soudris ,
    • A. H. M. E. Reinders ,
    • Francky Catthoor and
    • J.Poortmans

    Next Generation Tools for Accurate Energy Yield Estimation of Bifacial PV Systems – Best Practices, Improvements and Challenges

    in Proceedings of EU PVSEC European PV Solar Energy Conference amd Exhibition, 2019
  • Energy Efficient Adaptive Approach for Dependable Performance in the presence of Timing Interference

    in GLSVLSI , 2017
    • Nikolaos Zompakis ,
    • Michail Noltsis ,
    • Lorena Ndreu ,
    • Zacharias Hadjilambrou ,
    • Panagiotis Englezakis ,
    • Panagiota Nikolaou ,
    • Antoni Portero ,
    • Simone Libutti ,
    • Giuseppe Massari ,
    • Federico Sassi ,
    • Alessandroy Bacchini ,
    • Chrysostomos Nicopoulos ,
    • Yiannakis Sazeides ,
    • Radim Vavrik ,
    • Martin Golasowski ,
    • Jiri Sevcik ,
    • Vit Vondrak ,
    • Francky Catthoor ,
    • William Fornaciari and
    • Dimitrios Soudris

    HARPA: Tackling Physically Induced Performance Variability

    in Proc. of 20th Design Automation & Testing Exhibition (DATE), 2017
  • Accuracy of Quasi-Monte Carlo technique in Failure Probability Estimations

    in Proc. of 2016 International Conference on IC Design and Technology (ICICDT), 2016
  • Proposed Evaluation Framework for Exploration of Smart PV Module Topologies

    in Proceedings of EU PVSEC European PV Solar Energy Conference and Exhibition, 2016
  • Evaluation of a Detailed Electro-Thermal PV Model on a 62.5 KWp Installation

    in Proceedings of EU PVSEC European PV Solar Energy Conference amd Exhibition, 2016
    • Dimitrios Stamoulis ,
    • Simone Corbetta ,
    • Dimitris Rodopoulos ,
    • Pieter Weckx ,
    • Peter Debacker ,
    • Brett H. Meyer ,
    • Ben Kaczer ,
    • Praveen Raghavan ,
    • Dimitrios Soudris ,
    • Francky Catthoor and
    • Zeljko Zilic

    Capturing true workload dependency of BTI-induced degradation in CPU components

    in Proc. of 6th GLSVLSI: Great Lakes Symposium, 2016
    • Dimitris Rodopoulos ,
    • Simone Corbetta ,
    • Giuseppe Massari ,
    • Simone Libutti ,
    • Francky Catthoor ,
    • Yiannakis Sazeides ,
    • Chrysostomos Nicopoulos ,
    • Antoni Portero ,
    • Etienne Cappe ,
    • Radim Vavrik ,
    • Vit Vondrak ,
    • Dimitrios Soudris ,
    • Federico Sassi ,
    • Agnes Fritsch and
    • William Fornaciari

    HARPA: Solutions for Dependable Performance under Physically Induced Performance Variability

    in Proceedings of International Conference on Embedded Computer Systems: Architectures, MOdeling and Simulation (SAMOS), 2015
  • Smart PV Module Topology with a Snake-Like Configuration

    in PVSEC 2015, 30th European Photovoltaic Solar Energy Conference and Exhibition (EU PVSEC), 2015
  • PV Energy Yield Nowcasting Combining Sky Imaging with Simulation Models

    in PVSEC 2015, 30th European Photovoltaic Solar Energy Conference and Exhibition (EU PVSEC), 2015
    • Dimitrios Stamoulis ,
    • Dimitris Rodopoulos ,
    • Brett H. Meyer ,
    • Dimitrios Soudris ,
    • Francky Catthoor and
    • Zeljko Zilic

    Efficient Reliability Analysis of Processor Datapath using Atomistic BTI Variability Models

    in Proc. of of the 25th edition on Great Lakes Symposium on VLSI (GLSVLSI), 2015
  • Demonstration and validation of an energy yield prediction model suitable for non-steady state non-uniform conditions

    in 6th World Conference on Photovoltaic Energy Conversion (WCPEC), 2014
  • Presentation of a Verilog-AMS Model for Detailed Transient Electro-Thermal Simulations of PV Modules and Systems

    in Proceedings of 29th European Photovoltaic Solar Energy Conference and Exhibition (EU PVSEC), 2014
  • Configurable Module Topology to Recover Power Lost due to Current Mismatch

    in Proceedings of 29th European Photovoltaic Solar Energy Conference and Exhibition (EU PVSEC), 2014
    • Dimitris Rodopoulos ,
    • George Stamoulis ,
    • Dimitrios Soudris ,
    • Francky Catthoor and
    • Grigorios Lyras

    Understanding Timing Impact of BTI/RTN with Massively Threaded Atomistic Transient Simulations

    in Proceedings of International Conference on IC Design and Technology (ICICDT), 2014
  • “Software Mitigation of Transient Errors on the Single-Chip Cloud Computer

    in SELSE 2012, Silicon Errors in Logic - System Effects, 2012
  • Εnabling Efficient System Configurations For Dynamic Wireless Baseband Engines Using System Scenarios

    in Procedings of IEEE Workshop on Signal Processing Systems (SIPS), 2011
    • Dimitris Rodopoulos ,
    • S. Mahato ,
    • V. Valduga de Almeida Camargo ,
    • Ben Kaczer ,
    • Francky Catthoor ,
    • S. Cosemans ,
    • G. Groeseneken ,
    • Antonis Papanikolaou and
    • Dimitrios Soudris

    Time and Workload Dependent Device Variability in Circuit Simulations

    in Proccedings of IEEE International Conference on IC Design and Technology, 2011
    • Y. Iosifidis ,
    • A. Mallik ,
    • Stylianos Mamagkakis ,
    • Eddy De Greef ,
    • Alexandros Bartzas ,
    • Dimitrios Soudris and
    • Francky Catthoor

    A Framework For Automatic Parallelization, Static And Dynamic Memory Optimization in MPSOC Platforms

    in Proc. Of Design Automation Confernce (DAC), 2010
  • Multi-granularity NoC Simulation Framework for Early Phase Exploration of SDR Hardware Platforms

    in Proc. of 19th Inter. Workshop on Power and Timing, Modeling, Optimization, and Simulation (PATMOS), 2009
    • Nikolaos Kroupis ,
    • Praveen Raghavan ,
    • Murali Jayapala ,
    • Francky Catthoor and
    • Dimitrios Soudris

    Compilation Technique for Loop Overhead Minimization

    in Euromicro Conference on Digital System Design (DSD), 2009
    • Alexandros Bartzas ,
    • M. Quiros ,
    • Stylianos Mamagkakis ,
    • Francky Catthoor ,
    • Dimitrios Soudris and
    • J. Mendias

    Enabling RunTime Memory Data Transfer Optimizations at the System Level with Automated Extraction of Embedded Software Metadata Information

    in Proceedings of 13th Asia and South Pacific Design Automation Conference (ASP-DAC), 2008
    • Miguel Peon ,
    • Alexandros Bartzas ,
    • Stylianos Mamagkakis ,
    • Francky Catthoor ,
    • J. Mendias and
    • Dimitrios Soudris

    Direct memory access optimization in wireless terminals for reduced memory latency and energy consumption

    in Proceedings of 17th International Workshop, Power and Timing Modeling, Optimization and Simulation (PATMOS), 2007
  • Application – specific NoC platform design based on System Level Optimization

    in Proceedings of IEEE Computer Society Annual Symposium on VLSI (ISVLSI), 2007
  • Optimization of Dynamic Data Structures in Multimedia Embedded Systems Using Evolutionary Computation

    in Proceedings of 10th International Workshop on Software and Compilers for Embedded Systems (SCOPES), 2007
  • Middleware Design Optimization of Wireless Protocols Based on the Exploitation of Dynamic Input Patterns

    in Proc. of Design Automation & Test in Europe (DATE), 2007
  • Research Network for System Level Design of Embedded Systems: Dynamic Memory Allocation Design Flow Case Study

    in Proceedings of ACM Workshop on Embedded Systems Education (WESE), 2006
  • Energy efficient dynamic memory allocators at the middleware level of embedded systems

    in Proceedings of 6th Annual ACM Conference on Embedded Software (EMSOFT), 2006
    • Alexandros Bartzas ,
    • Miguel Peon ,
    • Stylianos Mamagkakis ,
    • D. Atienza ,
    • Francky Catthoor ,
    • Dimitrios Soudris and
    • J. Mendias

    Systematic Design Flow for Dynamic Data Management in Visual Texture Decoder of MPEG-4

    in Proc. of 2006 IEEE International Symposium on Circuits and Systems (ISCAS), 2006
  • Automated Exploration of Pareto optimal Configurations in Parameterized Dynamic Memory Allocation for Embedded Systems

    in Proc. of Design Automation & Test in Europe (DATE), 2006
    • Alexandros Bartzas ,
    • G. Pouiklis ,
    • Stylianos Mamagkakis ,
    • D. Atienza ,
    • Francky Catthoor ,
    • Dimitrios Soudris and
    • Adonios Thanailakis

    Dynamic Data Type Refinement Methodology for Systematic Performance–Energy Design Exploration of Network Applications

    in Proc. of Designe Automation and Test in Europe (DATE), 2006
    • Alexandros Bartzas ,
    • G. Pouiklis ,
    • Stylianos Mamagkakis ,
    • Francky Catthoor ,
    • Dimitrios Soudris and
    • Adonios Thanailakis

    Performance Energy Trade-off Exploration in Dynamic Data Types for Network Applications

    in Proc. of IEEE International Symposium on Signal Processing and Information Technology (ISSPIT), 2005
    • Minas Dasigenis ,
    • Erik Brockmeyer ,
    • Francky Catthoor ,
    • Dimitrios Soudris and
    • Adonios Thanailakis

    Improving the Memory Bandwidth Utilization in Embedded Systems Using Loop Transformations

    in Proceedings of 15th International Workshop, Power and Timing Modeling, Optimization and Simulation (PATMOS), 2005
    • Stylianos Mamagkakis ,
    • Christos Mpaloukas ,
    • D. Atienza ,
    • Francky Catthoor ,
    • Dimitrios Soudris and
    • J. Mendias

    Reducing Memory Fragmentation with Performance-optimized Dynamic Memory Allocators in Network Applications

    in Proc. of 3 nd International Conf. on Wired/Wireless Internet Communications (WWIC), 2005
    • Minas Dasigenis ,
    • Erik Brockmeyer ,
    • Bart Durinck ,
    • Francky Catthoor ,
    • Dimitrios Soudris and
    • Adonios Thanailakis

    A Memory Hierarchical Layer Assigning and Prefetching Technique to Overcome the Memory Performance/Energy Bottleneck

    in Proceedings of Design Automation & Test in Europe (DATE), 2005
  • Reducing Memory Accesses with a System-Level Design Methodology in Customized Dynamic Memory Management

    in Proc. of ESTIMedia 2004, 2nd Workshop on Embedded Systems for Real-Time Multimedia, 2004
    • Stylianos Mamagkakis ,
    • D. Atienza ,
    • C. Poucet ,
    • Francky Catthoor ,
    • Dimitrios Soudris and
    • J. Mendias

    Custom Design of Multi-Level Dynamic Memory Management Subsystem for Embedded Systems

    in Proc. of IEEE Workshop on Signal Processing Systems (SIPS), 2004
    • D. Atienza ,
    • Stylianos Mamagkakis ,
    • M. Leeman ,
    • Francky Catthoor ,
    • J. Mendias and
    • Dimitrios Soudris

    Power-Aware Tuning of Dynamic Memory Management for Embedded Real-Time Multimedia Applications

    in Proceedings of 19th Conference on Design of Circuits and Integrated Systems, (DCIS), 2004
    • D. Atienza ,
    • Stylianos Mamagkakis ,
    • M. Leeman ,
    • Francky Catthoor ,
    • J. Mendias and
    • Dimitrios Soudris

    Modular Construction and Power Modelling of Dynamic Memory Managers for Embedded Systems

    in Proc. of 14th International Workshop, Power and Timing Modeling, Optimization and Simulation (PATMOS), 2004
    • Minas Dasigenis ,
    • Erik Brockmeyer ,
    • Bart Durinck ,
    • Francky Catthoor ,
    • Dimitrios Soudris and
    • Adonios Thanailakis

    Power, Performance and Area Exploration for Data Memory Assignment of Multimedia Applications

    in Proc. of Workshop SAMOS IV: Systems, Architectures, MOdeling, and Simulation (SAMOS), 2004
    • Stylianos Mamagkakis ,
    • A. Mpartzas ,
    • G. Pouiklis ,
    • D. Atienza ,
    • Francky Catthoor ,
    • Dimitrios Soudris and
    • J. Mendias

    Design of Energy Efficient Wireless Networks Using Dynamic Data Type Refinement Methodology

    in Proc. 2nd International Conf. on Wired/Wireless Internet Communications (WWIC), 2004
  • Dynamic Memory Management Design Methodology for Reduced Memory Footprint in Multimedia and Wireless Network Applications

    in Proc. of Design Automation & Test in Europe (DATE), 2004
    • D. Atienza ,
    • Stylianos Mamagkakis ,
    • M. Leeman ,
    • Francky Catthoor ,
    • J. Mendias ,
    • Dimitrios Soudris and
    • G. Deconinck

    Fast System-Level Prototyping of Power-Aware Dynamic Memory Managers for Embedded Systems

    in proc. of Workshop on Compilers and Operating Systems for Low Power (COLP), 2003
    • Minas Dasigenis ,
    • Erik Brockmeyer ,
    • Dimitrios Soudris ,
    • Francky Catthoor ,
    • Adonios Thanailakis and
    • G. Papakostas

    Performance and Energy Optimization of Multimedia Applications using DMA Combined with Prefetch

    in proc. of Workshop on Compilers and Operating Systems for Low Power (COLP), 2003

    Workshops

    • Dimitris Rodopoulos ,
    • Philippe Roussel ,
    • Francky Catthoor ,
    • Yiannakis Sazeides and
    • Dimitrios Soudris

    Approximating Standard Cell Delay Distributions by Reformulating the Most Probable Failure Point

    in W05 ERMAVSS: Workshop on Early Reliability Modeling for Aging and Variability in Silicon Systems, Friday Workshop of DATE 2016, March 14-18, Dresden, Germany
  • Multi-granularity NoC simulation framework for early phase exploration of SDR platforms

    in Workshop Designing for embedded parallel computing platforms: architectures, tools, and applications, Friday 24th April, Nice, France